Home
last modified time | relevance | path

Searched defs:nColEnd (Results 1 – 9 of 9) sorted by relevance

/trunk/main/sc/source/core/data/
H A Ddpoutputgeometry.cxx98 SCCOL nColEnd = nColStart + static_cast<SCCOL>(mnColumnFields-1); in getColumnFieldPositions() local
117 SCCOL nColEnd = nColStart + static_cast<SCCOL>(mnRowFields-1); in getRowFieldPositions() local
191 SCCOL nColEnd = nColStart + static_cast<SCCOL>(mnColumnFields-1); in getFieldButtonType() local
204 SCCOL nColEnd = nColStart + static_cast<SCCOL>(mnRowFields-1); in getFieldButtonType() local
H A Dtable5.cxx1156 const SCCOL nColEnd = pAdrTo ? pAdrTo->Col() : MAXCOL; in InvalidateTextWidth() local
/trunk/main/sc/inc/
H A Drangeutl.hxx288 SCCOL nColEnd; member in ScArea
/trunk/main/sw/source/filter/inc/
H A Dfltglbls.hxx54 sal_uInt16 nColEnd; member in FilterGlobals
/trunk/main/sc/source/filter/inc/
H A Dlotrange.hxx44 SCCOL nColEnd; member in LotusRange
/trunk/main/sc/source/ui/dbgui/
H A Ddbnamdlg.cxx422 SCCOL nColEnd = 0; in UpdateDBData() local
598 SCCOL nColStart, nColEnd; in IMPL_LINK() local
/trunk/main/sc/source/filter/lotus/
H A Dop.cxx220 sal_uInt16 nColSt, nRowSt, nColEnd, nRowEnd; in OP_NamedRange() local
259 sal_uInt16 nColSt, nRowSt, nColEnd, nRowEnd; in OP_SymphNamedRange() local
/trunk/main/sc/source/core/tool/
H A Drangeutl.cxx280 SCCOL nColEnd = 0; in MakeRangeFromName() local
/trunk/main/sc/source/filter/html/
H A Dhtmlpars.cxx2405 SCCOL nColEnd = maCurrCell.mnCol + rSpanSize.mnCols; in InsertNewCell() local

Completed in 71 milliseconds