Home
last modified time | relevance | path

Searched refs:roundArray (Results 1 – 1 of 1) sorted by relevance

/trunk/main/basic/source/sbx/
H A Dsbxscan.cxx264 static double roundArray[] = { variable
310 if( (nNum += roundArray [( nDig > 16 ) ? 16 : nDig] ) >= 10.0 ) in myftoa()

Completed in 22 milliseconds