xref: /aoo42x/main/idlc/test/parser/makefile.mk (revision 7871dc3e)
1*7871dc3eSAndrew Rist#**************************************************************
2*7871dc3eSAndrew Rist#
3*7871dc3eSAndrew Rist#  Licensed to the Apache Software Foundation (ASF) under one
4*7871dc3eSAndrew Rist#  or more contributor license agreements.  See the NOTICE file
5*7871dc3eSAndrew Rist#  distributed with this work for additional information
6*7871dc3eSAndrew Rist#  regarding copyright ownership.  The ASF licenses this file
7*7871dc3eSAndrew Rist#  to you under the Apache License, Version 2.0 (the
8*7871dc3eSAndrew Rist#  "License"); you may not use this file except in compliance
9*7871dc3eSAndrew Rist#  with the License.  You may obtain a copy of the License at
10*7871dc3eSAndrew Rist#
11*7871dc3eSAndrew Rist#    http://www.apache.org/licenses/LICENSE-2.0
12*7871dc3eSAndrew Rist#
13*7871dc3eSAndrew Rist#  Unless required by applicable law or agreed to in writing,
14*7871dc3eSAndrew Rist#  software distributed under the License is distributed on an
15*7871dc3eSAndrew Rist#  "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
16*7871dc3eSAndrew Rist#  KIND, either express or implied.  See the License for the
17*7871dc3eSAndrew Rist#  specific language governing permissions and limitations
18*7871dc3eSAndrew Rist#  under the License.
19*7871dc3eSAndrew Rist#
20*7871dc3eSAndrew Rist#**************************************************************
21*7871dc3eSAndrew Rist
22*7871dc3eSAndrew Rist
23cdf0e10cSrcweir
24cdf0e10cSrcweirPRJ := ..$/..
25cdf0e10cSrcweirPRJNAME := idlc
26cdf0e10cSrcweirTARGET := test_parser
27cdf0e10cSrcweir
28cdf0e10cSrcweir.INCLUDE: settings.mk
29cdf0e10cSrcweir.INCLUDE: target.mk
30cdf0e10cSrcweir
31cdf0e10cSrcweirALLTAR: test
32cdf0e10cSrcweir
33cdf0e10cSrcweirtest .PHONY:
34cdf0e10cSrcweir    $(EXECTEST) attribute.tests $(BIN)/idlc$(EXECPOST) \
35cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
36cdf0e10cSrcweir    $(EXECTEST) constant.tests $(BIN)/idlc$(EXECPOST) \
37cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
38cdf0e10cSrcweir    $(EXECTEST) constructor.tests $(BIN)/idlc$(EXECPOST) \
39cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
40cdf0e10cSrcweir    $(EXECTEST) interfaceinheritance.tests $(BIN)/idlc$(EXECPOST) \
41cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
42cdf0e10cSrcweir    $(EXECTEST) methodoverload.tests $(BIN)/idlc$(EXECPOST) \
43cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
44cdf0e10cSrcweir    $(EXECTEST) polystruct.tests $(BIN)/idlc$(EXECPOST) \
45cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
46cdf0e10cSrcweir    $(EXECTEST) published.tests $(BIN)/idlc$(EXECPOST) \
47cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
48cdf0e10cSrcweir    $(EXECTEST) struct.tests $(BIN)/idlc$(EXECPOST) \
49cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
50cdf0e10cSrcweir    $(EXECTEST) typedef.tests $(BIN)/idlc$(EXECPOST) \
51cdf0e10cSrcweir        -O $(MISC)/$(TARGET) -stdin
52